Warning: session_start() [function.session-start]: open(/mnt/127/sdb/chez-alice.fr/8/6/freemac/sessions//sess_f65d23b40e89febe4845712b9af95ce8, O_RDWR) failed: No such file or directory (2) in /mnt/127/sdb/chez-alice.fr/8/6/freemac/weblog/weblog.php on line 135

Warning: session_start() [function.session-start]: Cannot send session cookie - headers already sent by (output started at /mnt/127/sdb/chez-alice.fr/8/6/freemac/weblog/weblog.php:135) in /mnt/127/sdb/chez-alice.fr/8/6/freemac/weblog/weblog.php on line 135

Warning: session_start() [function.session-start]: Cannot send session cache limiter - headers already sent (output started at /mnt/127/sdb/chez-alice.fr/8/6/freemac/weblog/weblog.php:135) in /mnt/127/sdb/chez-alice.fr/8/6/freemac/weblog/weblog.php on line 135

Warning: Cannot modify header information - headers already sent by (output started at /mnt/127/sdb/chez-alice.fr/8/6/freemac/weblog/weblog.php:135) in /mnt/127/sdb/chez-alice.fr/8/6/freemac/weblog/weblog.php on line 259
Blog de Freemac http://www.domain.tld/weblog.php Blog de Freemac en Ralentissements Mon site ralentis, les pages ont du mal à s'afficher au premier clique (pourtant c'est de l'adsl)<br /> Je suis donc en train d'ouvrir un nouveau blog sur free,.<br /> <br /> @metal_slug.gif; C'est théoriquement ma dernière news sur ce site.<br /> <br /> ça y est, c'est ouvert !!!<br /> <br /> @metal_slug.gif; Mon nouveau blog : <a href="http://yunyunAoF.free.fr">http://yunyunAoF.free.fr</a><br /> http://freemac.chez-alice.fr/weblog/weblog.php?id=443d878b13146 Thu, 13 Apr 2006 01:01:00 GMT Amstrad CPC 6128 + <img src="blog/image55.jpg" alt="[image]" style="margin: 5px 0px 5px 0px" /><br /> Ze bestiole. Lecteur disquette à prioris désactivé suite à un essaie du lecteur externe... Le jeu en cartouche fonctionne.<br /> <br /> <img src="blog/image59.jpg" alt="[image]" style="margin: 5px 0px 5px 0px" /><br /> Un joystick acheté à easycash en cour de montage...<br /> <img src="blog/image60.jpg" alt="[image]" style="margin: 5px 0px 5px 0px" /><br /> Plaquette simplissime.<br /> <br /> <img src="blog/image61.jpg" alt="[image]" style="margin: 5px 0px 5px 0px" /><br /> Deux pads identiques, d'un système bizarre de mini jeux.<br /> <img src="blog/image62.jpg" alt="[image]" style="margin: 5px 0px 5px 0px" /><br /> Plaquette plus complexe, mais fesable.<br /> http://freemac.chez-alice.fr/weblog/weblog.php?id=443c330d4dac4 Wed, 12 Apr 2006 00:47:00 GMT Spartan Test 1 2 <img src="blog/image52.jpg" alt="[image]" style="margin: 5px 0px 5px 0px" /><br /> Après avoir trouvé une doc de Xilinx nommé ug130.pdf qui contient tout les nom des ports du spartan, et après avoir décortiqué l'intro trouvé <a href="&quot;http://club.csse.monash.edu.au/~tmccoy/index.php?option=content&amp;task=category&amp;sectionid=6&amp;id=84&amp;Itemid=32&quot;">ici</a>, <br /> j'ai pu mettre mon premier programme dans mon spartan et ouha, ça marche !<br /> <br /> En gros :<br /> - nouveau projet en VHDL, avec un Spartan3 xc3s200 ft256 -4<br /> - ajouter new source VHDL module<br /> - écrire le VHDL<br /> - x check syntax<br /> - x generate symbol<br /> - ajouter new source Schematics<br /> - view source off view source on (ouhah la liste des composant)<br /> - tu pose ton composant et tu lui ajoute des label<br /> - x generate symbol (nivo schematic cte fois)<br /> - x User Constraints &gt;Assign Package Pins&quot; ça t'ouvre PANE<br /> - tu met les port en face des trou (colonne Loc à remplir)<br /> - tu branche la plaque<br /> - x configure device<br /> - ok ok, clique droit sur la prem mémoire&gt;program<br /> <br /> Mon code source VHDL (allumer 4 LED sur les 8)<br /> --------------------------------------------------------------------------------<br /> library IEEE;<br /> use IEEE.STD_LOGIC_1164.ALL;<br /> use IEEE.STD_LOGIC_ARITH.ALL;<br /> use IEEE.STD_LOGIC_UNSIGNED.ALL;<br /> <br /> entity counter is<br /> Port ( Output : out std_logic_vector(7 downto 0));<br /> end counter;<br /> <br /> architecture Behavioral of counter is<br /> begin<br /> Output&lt;=&quot;00001111&quot;;<br /> end Behavioral;<br /> --------------------------------------------------------------------------------<br /> http://freemac.chez-alice.fr/weblog/weblog.php?id=4432dc0643e89 Tue, 04 Apr 2006 22:36:00 GMT Un screenshoot impossible - la solution contre les pirates !!! <img src="blog/image2.gif" alt="[image]" style="margin: 5px 0px 5px 0px" /><br /> En avant première : en gros on arrive à afficher assez vite à l'écran pour que l'oeil vois une seule info à la place des deux qui s'affichent réellement, celà pour empécher les screenshoot pirates : si on screenshoot ce gif (l'image ci dessus), on ne vois que la moitié des lettres !!!<br /> http://freemac.chez-alice.fr/weblog/weblog.php?id=442dc3551cd82 Sat, 01 Apr 2006 01:59:00 GMT Marin d'eau douce <img src="blog/image51.jpg" alt="[image]" style="margin: 5px 0px 5px 0px" /><br /> screenshoot d'un site nommé servihosted qui propose plein de virus en téléchargement... Le pire c'est qu'un soft (gratuit) de ma création est soit disant proposé en téléchargement avec un ck, les deux liens sont faux et vous envois deux beau chevaux de trois (virus). Ah mais quelle sale pub :(<br /> <br /> Le soft blocmem proposé sur mon site à la première page est gratuit, et n'a pas de virus. C'est juste un soft pour prendre des notes, c'est un mini bloc note windows qui reste toujours affiché en premier plan sympas pour copier une liste d'adresses internet...<br /> <br /> De plus blocmem contient ses sources visual basic, et ses sources pour créer son programme d'installation (un script NSIS en fait), comme expliqué dans le readme.txt (à une erreur près : grizzlist pour windows n'existe plus depuis longtemps (sources égarés))<br /> http://freemac.chez-alice.fr/weblog/weblog.php?id=4425eaefc54e2 Sun, 26 Mar 2006 01:54:00 GMT Stitch pour Jouly <img src="blog/image50.jpg" alt="[image]" style="margin: 5px 0px 5px 0px" /><br /> Hahaha avec vos super appareil photo nickon vous n'arrivez pas à faire cet effet muhahaha.<br /> <br /> <br /> ...Il a vraiment du mal mon appareil photo parfois.<br /> Dessin sur l'ordinateur de ma petite soeur (j'espère que ça te plait, sinon je le garde :p)<br /> http://freemac.chez-alice.fr/weblog/weblog.php?id=44256f96d1833 Sat, 25 Mar 2006 17:26:00 GMT Kit Starter Spartan 3 reçu ! <img src="blog/image49.jpg" alt="[image]" style="margin: 5px 0px 5px 0px" /><br /> Tadam !!!<br /> Commandé chez lextronic avec son cable d'alimentation, je l'ai reçu assez rapidement.<br /> <br /> Premières constatations purement visuels de l'objet :<br /> <br /> L'ensemble comporte un cable JTAG en parallèle (PC), un connecteur vga et un connecteur série. Un prise semblable à du PS/2 (clavier?)<br /> 3 pseudo prises femelles IDE à 2*20 trous, la différence avec l'IDE c'est qu'il n'y a aucuns trou bouchés.<br /> Des gabjets intégrés : des leds, des boutons poussoir (contacteurs), des switchs (inverseurs) et quelques autres trucs.<br /> Et au milieu le Spartan 3, un FPGA de XilinX.<br /> La plaque a à ses quatre extremité en dessous des boules de glues permettant de poser la plaque sans soucis sur une surface plane (bureau en bois...) et les prises sont assez abusivement soudés pour sembler bien fixés. Bref on sent déjà de la qualité.<br /> Il y a à prioris 4 supports prévues pour ajouter des horloges, et il y a déjà une horloge intégré dessous de 50MHz, ainsi que deux mémoires static IS61LV256 2*32Ko.<br /> Il y a aussi un gros truc pour afficher 4 chiffres comme sur les vielles calculettes ^^<br /> http://freemac.chez-alice.fr/weblog/weblog.php?id=44252dba83621 Sat, 25 Mar 2006 12:17:00 GMT Matlab n'aime pas freemac, freemac non plus ? <img src="blog/image47.jpg" alt="[image]" style="margin: 5px 0px 5px 0px" /><br /> un calcul raté<br /> <img src="blog/image48.jpg" alt="[image]" style="margin: 5px 0px 5px 0px" /><br /> un calcul super raté<br /> Matlab est une méga calculatrice pour scientifique, qui permet entre autre de tracer des courbes.<br /> On arrive à de jolie résultats quand on se plante.<br /> Mais bon quand ce n'est pas de notre faute...<br /> Le script suivant est censé donner deux fois la même courbe. Or sur certaines versions, c'est pas le cas (un gros nuage apparait) @gre7.gif;<br /> <br /> % ma première transformé de fourrier sous Matlab (encourageant quand on la loupe)<br /> t=[1:100];<br /> y=cos(20*t);<br /> plot(t,y);<br /> z=fft(y); % transformé de fourrier<br /> s=ifft(z); % transformé inverse de fourrier<br /> plot(t,s); % est-on réintérie sur les pieds ou sur la tête ?<br /> <br /> V collectionner les zolies dessins... ( y'en a qui font de poissons ! )<br /> http://freemac.chez-alice.fr/weblog/weblog.php?id=442320d3a590d Thu, 23 Mar 2006 23:17:00 GMT Where is my mind - Placebo With your feet in the air , when your head on the ground<br /> You try this trick and spin! Yeahh!<br /> Your head will collapse , when there is nothing in it<br /> and you ask yourself?<br /> <br /> Where is my mind?<br /> Where is my mind?<br /> Where is my mind?<br /> Where is my mind?<br /> Way out, in the water see her swimmin´ <br /> <br /> I was swimmin´ in the caribean<br /> Animals were hiding behind the rocks. Yeahh!<br /> Except the little fish<br /> but he told me east is west<br /> they tryin´ to crack<br /> <br /> Where is my mind?<br /> Where is my mind?<br /> Where is my mind?<br /> Where is my mind?<br /> Way out, in the water see her swimmin´<br /> <br /> With your feet in the air when your head on the ground<br /> Try this trick and spin! Yeahh!<br /> Your head will collapse when there is nothing in it<br /> And you ask yourself<br /> <br /> Where is my mind?<br /> Where is my mind?<br /> Where is my mind?<br /> Where is my mind?<br /> Way out, in the water see her swimmin´<br /> http://freemac.chez-alice.fr/weblog/weblog.php?id=441e9ccd9b7ed Mon, 20 Mar 2006 13:13:00 GMT Alabama song - The Doors Well, show me the way<br /> To the next whisky bar<br /> Oh, don't ask why<br /> Oh, don't ask why<br /> <br /> Show me the way<br /> To the next whisky bar<br /> Oh, don't ask why<br /> Oh, don't ask why<br /> <br /> For if we don't find<br /> The next whisky bar<br /> I tell you we must die<br /> I tell you we must die<br /> I tell you, I tell you<br /> I tell you we must die<br /> <br /> Oh, moon of Alabama<br /> We now must say goodbye<br /> We've lost our good old mama<br /> And must have whisky, oh, you know why<br /> <br /> Oh, moon of Alabama<br /> We now must say goodbye<br /> We've lost our good old mama<br /> And must have whisky, oh, you know why<br /> <br /> Well, show me the way<br /> To the next little girl<br /> Oh, don't ask why<br /> Oh, don't ask why<br /> <br /> Show me the way<br /> To the next little girl<br /> Oh, don't ask why<br /> Oh, don't ask why<br /> <br /> For if we don't find<br /> The next little girl<br /> I tell you we must die<br /> I tell you we must die<br /> I tell you, I tell you<br /> I tell you we must die<br /> <br /> Oh, moon of Alabama<br /> We now must say goodbye<br /> We've lost our good old mama<br /> And must have whisky, oh, you know why<br /> http://freemac.chez-alice.fr/weblog/weblog.php?id=441d582ea2d1b Sun, 19 Mar 2006 14:09:00 GMT Quand on avance pas on recule Non c'est pas un cour de conduite pour Miga qui a transformé sa méga voiture en croissant en jouant à burnout dans la vie réel (en fait faut que tu fasse péter les tofs !!!)<br /> Je viens seulement de commander mon SPARTAN !!!<br /> Ouais youpi, un pas en avant dans l'électronique (qui coûte les yeux de la tête)<br /> Au programme simulation réel de toute combinaison de composants logique sur une plaque.<br /> Une simulation réel ? Heu disons qu'en fait j'ai une méga puce qui réagit comme si j'avais une multitude de petites pupuces, le tout en programmant en un langage spécialisé.<br /> Sinon j'ai eu mon second module électronique : le premier étant celui d'électronique numérique et le dernier là de microcontroleurs. En gros j'arrive à concevoir réellement les plaques à composants logiques... à manipuler légèrement les transistors.<br /> Les autres matières je ne m'en sort pas vraiment, la HF dont rien que la longueur des fils a son importances, les ondes analogique et toute la planoplie des ampli-op (pseudos transistors à tensions) afin de créer (de A à Z) les filtres, modulateurs... et iradier mon voisin (petit joueur)<br /> http://freemac.chez-alice.fr/weblog/weblog.php?id=441bf2736aaa8 Sat, 18 Mar 2006 12:33:00 GMT Pomme-Files <img src="blog/image45.jpg" alt="[image]" style="margin: 5px 0px 5px 0px" /><br /> Je vois des pommes partout, Mulder à l'aide !!!<br /> <br /> Boire de l'eau nuit gravement à la santé.<br /> <br /> Cadeau de Yannis @gre6.gif;<br /> http://freemac.chez-alice.fr/weblog/weblog.php?id=44186a3ca7b40 Wed, 15 Mar 2006 20:25:00 GMT Apple m'aime pas pourtant moi je l'aime bien :/ <img src="blog/image44.jpg" alt="[image]" style="margin: 5px 0px 5px 0px" /><br /> Banni depuis 3 ans @gre5.gif;<br /> http://freemac.chez-alice.fr/weblog/weblog.php?id=4416b3a3c28ed Tue, 14 Mar 2006 13:13:00 GMT Sources de Quake3 pour OSX <img src="blog/image43.jpg" alt="[image]" style="margin: 5px 0px 5px 0px" /><br /> Dans <a href="ftp://ftp.idsoftware.com/idstuff/source">ftp://ftp.idsoftware.com/idstuff/source</a> vous avez les sources de Quake3.<br /> code/macosx/Quake3.pbproj pour ouvrir la source avec XCode<br /> <br /> Hack (je suis trop bon lol) pour le bi-monitoring afin de voir la console en même temps que le jeu ^^<br /> Find&gt;Find in project&gt;&quot;MAX_DISPLAYS&quot;<br /> et remplacer les<br /> #define MAX_DISPLAYS 128<br /> par :<br /> #define MAX_DISPLAYS 1<br /> Voilà, le second écran n'apparaît plus en noir, vous pouvez ainsi débuguer tranquillement pendant que vous jouez @gre-.gif;@gre-.gif;@gre-.gif;<br /> http://freemac.chez-alice.fr/weblog/weblog.php?id=44147d13dd69a Sun, 12 Mar 2006 20:50:00 GMT J'ai un bus 133MHz mais ya un lézard XD <img src="blog/image33.jpg" alt="[image]" style="margin: 5px 0px 5px 0px" /><br /> <a href="http://www.xlr8yourmac.com/G4ZONE/sawtooth/SawtoothCPUdesign.html">lien xlr8yourmac</a><br /> Script :<br /> How to edit and store the NVRAMRC for 133MHz bus<br /> <br /> (1) Boot by holding down &quot;Cmd&quot; + &quot;Opt&quot; + &quot;O&quot; + &quot;F&quot; keys to enter the OpenFirmware terminal.<br /> (2) Enter the NVRAMRC editor. (&lt;return&gt; shows you should type &quot;return&quot; key)<br /> <br /> nvedit&lt;return&gt;<br /> (3) Type following script exactly (including space).<br /> &quot; /&quot; select-dev&lt;return&gt;<br /> 7efdc44 &quot; clock-frequency&quot; get-my-property 2drop !&lt;return&gt;<br /> &quot; /cpus/PowerPC,G4@0&quot; select-dev&lt;return&gt;<br /> 1fca0554 &quot; clock-frequency&quot; get-my-property 2drop !&lt;return&gt;<br /> 7efdc44 &quot; bus-frequency&quot; get-my-property 2drop !&lt;return&gt;<br /> 1fbf711 &quot; timebase-frequency&quot; get-my-property 2drop !<br /> (4) Type &quot;Cntl&quot; + &quot;C&quot; to exit NVRAMRC editor.<br /> (5) Save the script<br /> nvstore&lt;return&gt;<br /> (6) To enable the NVRAMRC, type this command.<br /> setenv use-nvramrc? true&lt;return&gt;<br /> <br /> (7) Reboot with new timebase constant.<br /> reset-all&lt;return&gt;<br /> If you fail to edit the NVRAMRC or need to return to the 100MHz bus, you can clear the changes by resetting the nvram, booting by holding down &quot;Cmd&quot; + &quot;Opt&quot; + &quot;P&quot; + &quot;R&quot;.<br /> http://freemac.chez-alice.fr/weblog/weblog.php?id=440cda2065c89 Tue, 07 Mar 2006 01:51:00 GMT Pom pom pom pom - KIDIFREE <img src="blog/image32.jpg" alt="[image]" style="margin: 5px 0px 5px 0px" /><br /> Une critique par <a href="http://www.pomcast.com">POMcast</a> de KidiFree à ne pas louper (enfin c'est vieu, 2005 mais bon), moi j'aime bien quand des pti développeurs deviennent célèbres (^^), surtout quand on les fréquente régulièrement sur les forums (ici sur <a href="http://www.macfr.com">macfr</a>)<br /> Episode donc à savourer parlant de KIDIFREE : Pom051217-026.m4b<br /> KidiFree petit soft regroupant, illustrant et commentant des freewares du net, avec du direct download. Idéal quand on découvre le monde Mac (et oui ya pas que iTunes lol)<br /> <br /> Sinon écoutez le pomcast car c'est toujours marrant, et ce n'est pas un podcast monoutilisateurs, les animateurs discutent à plusieur (bref c'est pas un blogcast (je suis méchant mais franchement j'ai envie de l'être :p )) ils essayent d'être sérieux mais ils y arrivent pas, au fond c'est aussi un peu ça qu'on aime @gre-.gif;@gre-.gif;@gre-.gif;<br /> <br /> Alors en gros sur pomcast, on a des commentaires sur les dernières nouveautés Mac, des interviews diverses amateurs dignes de NRJ, de super ragots dont certains n'en sont pas et d'autre en sont à moitiés, diverses actus Mac sympatiques, brefs beaucoup de choses sympathiques pour faire parler ou rigoler les Macusers.<br /> Voilà si vous avez un podcast spécial à me faire écouter postez le dans les commentaires, car je commence à m'y accrocher là @gre8.gif;<br /> http://freemac.chez-alice.fr/weblog/weblog.php?id=440b23066f8cc Sun, 05 Mar 2006 18:26:00 GMT Boum la TNT <img src="blog/image31.jpg" alt="[image]" style="margin: 5px 0px 5px 0px" /><br /> Ouverture de ma carte TNT qui marchait qu'une heure à chaque lancement (réellement stressant, obligé de reset le périphérique via un autre logiciel)<br /> Si vous regardez de plus près vous verrez des selfs de divers couleurs (souvent utilisé en HF) vertes, rouge, cuivre... ils ont du goût @gre.gif;<br /> On a deux gravures, la carte mère est en gravure anglais (on enlève le minimum de matière en frottant), et la carte fille en gravure chimique (fait trempette)<br /> Les condensateurs en micro Farad sont les gros tubes à deux pates, les petits condensateurs (pico Farad) sont trop petits pour les voir là.<br /> Le quartz à 20.48MHz : comme quoi on pourrait faire des amiga plus petits que les Mac mini XD<br /> http://freemac.chez-alice.fr/weblog/weblog.php?id=440b196e54b1d Sun, 05 Mar 2006 17:46:00 GMT L'écran bleu du Mac est noir <img src="blog/image30.jpg" alt="[image]" style="margin: 5px 0px 5px 0px" /><br /> J'ai supprimé trop de fichiers @gre9.gif;<br /> http://freemac.chez-alice.fr/weblog/weblog.php?id=440a044cdaf8d Sat, 04 Mar 2006 22:16:00 GMT Mac OS X sur CPC <img src="blog/image1.gif" alt="[image]" style="margin: 5px 0px 5px 0px" /><br /> <a href="http://kernelpanic.typepad.com/apple/2005/08/mac_os_x_sur_cp.html">Le lien</a><br /> http://freemac.chez-alice.fr/weblog/weblog.php?id=4401dedc969ca Sun, 26 Feb 2006 18:00:00 GMT Orange Mécanique <img src="blog/image28.jpg" alt="[image]" style="margin: 5px 0px 5px 0px" /><br /> La durango 95 (ils se plantent dans la version française ils disent 75, mais en fait c'est 95)<br /> ça va ça pète je trouve comme voiture<br /> En fait la caisse était tellement plate qu'il s'amuse à passer sous les camions avec @gre.gif;<br /> http://freemac.chez-alice.fr/weblog/weblog.php?id=43f89ee1ee80b Sun, 19 Feb 2006 17:36:00 GMT
Warning: Unknown: open(/mnt/127/sdb/chez-alice.fr/8/6/freemac/sessions//sess_f65d23b40e89febe4845712b9af95ce8, O_RDWR) failed: No such file or directory (2) in Unknown on line 0

Warning: Unknown: Failed to write session data (files). Please verify that the current setting of session.save_path is correct (/mnt/127/sdb/chez-alice.fr/8/6/freemac/sessions/) in Unknown on line 0