Warning: session_start() [function.session-start]: open(/mnt/127/sdb/chez-alice.fr/8/6/freemac/sessions//sess_dab8627e48f2db6a45db3e313687f4ff, O_RDWR) failed: No such file or directory (2) in /mnt/127/sdb/chez-alice.fr/8/6/freemac/weblog/weblog.php on line 135

Warning: session_start() [function.session-start]: Cannot send session cookie - headers already sent by (output started at /mnt/127/sdb/chez-alice.fr/8/6/freemac/weblog/weblog.php:135) in /mnt/127/sdb/chez-alice.fr/8/6/freemac/weblog/weblog.php on line 135

Warning: session_start() [function.session-start]: Cannot send session cache limiter - headers already sent (output started at /mnt/127/sdb/chez-alice.fr/8/6/freemac/weblog/weblog.php:135) in /mnt/127/sdb/chez-alice.fr/8/6/freemac/weblog/weblog.php on line 135
Blog de Freemac [ Retour au site principal ]

Blog de Freemac

[ Back ]

Tuesday, April 04, 2006, 22:36

Spartan Test 1 2

[image]
Après avoir trouvé une doc de Xilinx nommé ug130.pdf qui contient tout les nom des ports du spartan, et après avoir décortiqué l'intro trouvé ici,
j'ai pu mettre mon premier programme dans mon spartan et ouha, ça marche !

En gros :
- nouveau projet en VHDL, avec un Spartan3 xc3s200 ft256 -4
- ajouter new source VHDL module
- écrire le VHDL
- x check syntax
- x generate symbol
- ajouter new source Schematics
- view source off view source on (ouhah la liste des composant)
- tu pose ton composant et tu lui ajoute des label
- x generate symbol (nivo schematic cte fois)
- x User Constraints >Assign Package Pins" ça t'ouvre PANE
- tu met les port en face des trou (colonne Loc à remplir)
- tu branche la plaque
- x configure device
- ok ok, clique droit sur la prem mémoire>program

Mon code source VHDL (allumer 4 LED sur les 8)
--------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;

entity counter is
Port ( Output : out std_logic_vector(7 downto 0));
end counter;

architecture Behavioral of counter is
begin
Output<="00001111";
end Behavioral;
--------------------------------------------------------------------------------

Comments:

No comments yet.

 
Your comment:
Name: E-mail or Homepage:  
[ Retour au site principal ]

Admin login | Script by Alex