Warning: session_start() [function.session-start]: open(/mnt/127/sdb/chez-alice.fr/8/6/freemac/sessions//sess_c9a0a42752fc26e27de2f94d0ffd1083, O_RDWR) failed: No such file or directory (2) in /mnt/127/sdb/chez-alice.fr/8/6/freemac/weblog/weblog.php on line 135

Warning: session_start() [function.session-start]: Cannot send session cookie - headers already sent by (output started at /mnt/127/sdb/chez-alice.fr/8/6/freemac/weblog/weblog.php:135) in /mnt/127/sdb/chez-alice.fr/8/6/freemac/weblog/weblog.php on line 135

Warning: session_start() [function.session-start]: Cannot send session cache limiter - headers already sent (output started at /mnt/127/sdb/chez-alice.fr/8/6/freemac/weblog/weblog.php:135) in /mnt/127/sdb/chez-alice.fr/8/6/freemac/weblog/weblog.php on line 135
Blog de Freemac [ Retour au site principal ]

Blog de Freemac

 

Thursday, April 13, 2006, 01:01

Ralentissements

Mon site ralentis, les pages ont du mal à s'afficher au premier clique (pourtant c'est de l'adsl)
Je suis donc en train d'ouvrir un nouveau blog sur free,.

C'est théoriquement ma dernière news sur ce site.

ça y est, c'est ouvert !!!

Mon nouveau blog : http://yunyunAoF.free.fr

[ 3 comments ]

 

Wednesday, April 12, 2006, 00:47

Amstrad CPC 6128 +

[image]
Ze bestiole. Lecteur disquette à prioris désactivé suite à un essaie du lecteur externe... Le jeu en cartouche fonctionne.

[image]
Un joystick acheté à easycash en cour de montage...
[image]
Plaquette simplissime.

[image]
Deux pads identiques, d'un système bizarre de mini jeux.
[image]
Plaquette plus complexe, mais fesable.

[ 30 comments ]

 

Tuesday, April 04, 2006, 22:36

Spartan Test 1 2

[image]
Après avoir trouvé une doc de Xilinx nommé ug130.pdf qui contient tout les nom des ports du spartan, et après avoir décortiqué l'intro trouvé ici,
j'ai pu mettre mon premier programme dans mon spartan et ouha, ça marche !

En gros :
- nouveau projet en VHDL, avec un Spartan3 xc3s200 ft256 -4
- ajouter new source VHDL module
- écrire le VHDL
- x check syntax
- x generate symbol
- ajouter new source Schematics
- view source off view source on (ouhah la liste des composant)
- tu pose ton composant et tu lui ajoute des label
- x generate symbol (nivo schematic cte fois)
- x User Constraints >Assign Package Pins" ça t'ouvre PANE
- tu met les port en face des trou (colonne Loc à remplir)
- tu branche la plaque
- x configure device
- ok ok, clique droit sur la prem mémoire>program

Mon code source VHDL (allumer 4 LED sur les 8)
--------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;

entity counter is
Port ( Output : out std_logic_vector(7 downto 0));
end counter;

architecture Behavioral of counter is
begin
Output<="00001111";
end Behavioral;
--------------------------------------------------------------------------------

[ No comments ]

 

Saturday, April 01, 2006, 01:59

Un screenshoot impossible - la solution contre les pirates !!!

[image]
En avant première : en gros on arrive à afficher assez vite à l'écran pour que l'oeil vois une seule info à la place des deux qui s'affichent réellement, celà pour empécher les screenshoot pirates : si on screenshoot ce gif (l'image ci dessus), on ne vois que la moitié des lettres !!!

[ 4 comments ]

 

Sunday, March 26, 2006, 01:54

Marin d'eau douce

[image]
screenshoot d'un site nommé servihosted qui propose plein de virus en téléchargement... Le pire c'est qu'un soft (gratuit) de ma création est soit disant proposé en téléchargement avec un ck, les deux liens sont faux et vous envois deux beau chevaux de trois (virus). Ah mais quelle sale pub :(

Le soft blocmem proposé sur mon site à la première page est gratuit, et n'a pas de virus. C'est juste un soft pour prendre des notes, c'est un mini bloc note windows qui reste toujours affiché en premier plan sympas pour copier une liste d'adresses internet...

De plus blocmem contient ses sources visual basic, et ses sources pour créer son programme d'installation (un script NSIS en fait), comme expliqué dans le readme.txt (à une erreur près : grizzlist pour windows n'existe plus depuis longtemps (sources égarés))

[ 2 comments ]

 

Saturday, March 25, 2006, 17:26

Stitch pour Jouly

[image]
Hahaha avec vos super appareil photo nickon vous n'arrivez pas à faire cet effet muhahaha.


...Il a vraiment du mal mon appareil photo parfois.
Dessin sur l'ordinateur de ma petite soeur (j'espère que ça te plait, sinon je le garde :p)

[ No comments ]

 

Saturday, March 25, 2006, 12:17

Kit Starter Spartan 3 reçu !

[image]
Tadam !!!
Commandé chez lextronic avec son cable d'alimentation, je l'ai reçu assez rapidement.

Premières constatations purement visuels de l'objet :

L'ensemble comporte un cable JTAG en parallèle (PC), un connecteur vga et un connecteur série. Un prise semblable à du PS/2 (clavier?)
3 pseudo prises femelles IDE à 2*20 trous, la différence avec l'IDE c'est qu'il n'y a aucuns trou bouchés.
Des gabjets intégrés : des leds, des boutons poussoir (contacteurs), des switchs (inverseurs) et quelques autres trucs.
Et au milieu le Spartan 3, un FPGA de XilinX.
La plaque a à ses quatre extremité en dessous des boules de glues permettant de poser la plaque sans soucis sur une surface plane (bureau en bois...) et les prises sont assez abusivement soudés pour sembler bien fixés. Bref on sent déjà de la qualité.
Il y a à prioris 4 supports prévues pour ajouter des horloges, et il y a déjà une horloge intégré dessous de 50MHz, ainsi que deux mémoires static IS61LV256 2*32Ko.
Il y a aussi un gros truc pour afficher 4 chiffres comme sur les vielles calculettes ^^

[ 2 comments ]

 

Thursday, March 23, 2006, 23:17

Matlab n'aime pas freemac, freemac non plus ?

[image]
un calcul raté
[image]
un calcul super raté
Matlab est une méga calculatrice pour scientifique, qui permet entre autre de tracer des courbes.
On arrive à de jolie résultats quand on se plante.
Mais bon quand ce n'est pas de notre faute...
Le script suivant est censé donner deux fois la même courbe. Or sur certaines versions, c'est pas le cas (un gros nuage apparait)

% ma première transformé de fourrier sous Matlab (encourageant quand on la loupe)
t=[1:100];
y=cos(20*t);
plot(t,y);
z=fft(y); % transformé de fourrier
s=ifft(z); % transformé inverse de fourrier
plot(t,s); % est-on réintérie sur les pieds ou sur la tête ?

V collectionner les zolies dessins... ( y'en a qui font de poissons ! )

[ 6 comments ]

 

Monday, March 20, 2006, 13:13

Where is my mind - Placebo

With your feet in the air , when your head on the ground
You try this trick and spin! Yeahh!
Your head will collapse , when there is nothing in it
and you ask yourself?

Where is my mind?
Where is my mind?
Where is my mind?
Where is my mind?
Way out, in the water see her swimmin´

I was swimmin´ in the caribean
Animals were hiding behind the rocks. Yeahh!
Except the little fish
but he told me east is west
they tryin´ to crack

Where is my mind?
Where is my mind?
Where is my mind?
Where is my mind?
Way out, in the water see her swimmin´

With your feet in the air when your head on the ground
Try this trick and spin! Yeahh!
Your head will collapse when there is nothing in it
And you ask yourself

Where is my mind?
Where is my mind?
Where is my mind?
Where is my mind?
Way out, in the water see her swimmin´

[ 2 comments ]

 

Sunday, March 19, 2006, 14:09

Alabama song - The Doors

Well, show me the way
To the next whisky bar
Oh, don't ask why
Oh, don't ask why

Show me the way
To the next whisky bar
Oh, don't ask why
Oh, don't ask why

For if we don't find
The next whisky bar
I tell you we must die
I tell you we must die
I tell you, I tell you
I tell you we must die

Oh, moon of Alabama
We now must say goodbye
We've lost our good old mama
And must have whisky, oh, you know why

Oh, moon of Alabama
We now must say goodbye
We've lost our good old mama
And must have whisky, oh, you know why

Well, show me the way
To the next little girl
Oh, don't ask why
Oh, don't ask why

Show me the way
To the next little girl
Oh, don't ask why
Oh, don't ask why

For if we don't find
The next little girl
I tell you we must die
I tell you we must die
I tell you, I tell you
I tell you we must die

Oh, moon of Alabama
We now must say goodbye
We've lost our good old mama
And must have whisky, oh, you know why

[ 15 comments ]

1 2 3 4 »  XML

[ Retour au site principal ]

Admin login | Script by Alex