Warning: session_start() [function.session-start]: open(/mnt/127/sdb/chez-alice.fr/8/6/freemac/sessions//sess_c169146f4e0c4a0c5d0122049e371b2f, O_RDWR) failed: No such file or directory (2) in /mnt/127/sdb/chez-alice.fr/8/6/freemac/weblog/weblog.php on line 135

Warning: session_start() [function.session-start]: Cannot send session cookie - headers already sent by (output started at /mnt/127/sdb/chez-alice.fr/8/6/freemac/weblog/weblog.php:135) in /mnt/127/sdb/chez-alice.fr/8/6/freemac/weblog/weblog.php on line 135

Warning: session_start() [function.session-start]: Cannot send session cache limiter - headers already sent (output started at /mnt/127/sdb/chez-alice.fr/8/6/freemac/weblog/weblog.php:135) in /mnt/127/sdb/chez-alice.fr/8/6/freemac/weblog/weblog.php on line 135
Blog de Freemac [ Retour au site principal ]

Blog de Freemac

 

Saturday, March 18, 2006, 12:33

Quand on avance pas on recule

Non c'est pas un cour de conduite pour Miga qui a transformé sa méga voiture en croissant en jouant à burnout dans la vie réel (en fait faut que tu fasse péter les tofs !!!)
Je viens seulement de commander mon SPARTAN !!!
Ouais youpi, un pas en avant dans l'électronique (qui coûte les yeux de la tête)
Au programme simulation réel de toute combinaison de composants logique sur une plaque.
Une simulation réel ? Heu disons qu'en fait j'ai une méga puce qui réagit comme si j'avais une multitude de petites pupuces, le tout en programmant en un langage spécialisé.
Sinon j'ai eu mon second module électronique : le premier étant celui d'électronique numérique et le dernier là de microcontroleurs. En gros j'arrive à concevoir réellement les plaques à composants logiques... à manipuler légèrement les transistors.
Les autres matières je ne m'en sort pas vraiment, la HF dont rien que la longueur des fils a son importances, les ondes analogique et toute la planoplie des ampli-op (pseudos transistors à tensions) afin de créer (de A à Z) les filtres, modulateurs... et iradier mon voisin (petit joueur)

[ 4 comments ]

 

Wednesday, March 15, 2006, 20:25

Pomme-Files

[image]
Je vois des pommes partout, Mulder à l'aide !!!

Boire de l'eau nuit gravement à la santé.

Cadeau de Yannis

[ 3 comments ]

 

Tuesday, March 14, 2006, 13:13

Apple m'aime pas pourtant moi je l'aime bien :/

[image]
Banni depuis 3 ans

[ 1 comment ]

 

Sunday, March 12, 2006, 20:50

Sources de Quake3 pour OSX

[image]
Dans ftp://ftp.idsoftware.com/idstuff/source vous avez les sources de Quake3.
code/macosx/Quake3.pbproj pour ouvrir la source avec XCode

Hack (je suis trop bon lol) pour le bi-monitoring afin de voir la console en même temps que le jeu ^^
Find>Find in project>"MAX_DISPLAYS"
et remplacer les
#define MAX_DISPLAYS 128
par :
#define MAX_DISPLAYS 1
Voilà, le second écran n'apparaît plus en noir, vous pouvez ainsi débuguer tranquillement pendant que vous jouez

[ 2 comments ]

 

Tuesday, March 07, 2006, 01:51

J'ai un bus 133MHz mais ya un lézard XD

[image]
lien xlr8yourmac
Script :
How to edit and store the NVRAMRC for 133MHz bus

(1) Boot by holding down "Cmd" + "Opt" + "O" + "F" keys to enter the OpenFirmware terminal.
(2) Enter the NVRAMRC editor. ( shows you should type "return" key)

nvedit
(3) Type following script exactly (including space).
" /" select-dev
7efdc44 " clock-frequency" get-my-property 2drop !
" /cpus/PowerPC,G4@0" select-dev
1fca0554 " clock-frequency" get-my-property 2drop !
7efdc44 " bus-frequency" get-my-property 2drop !
1fbf711 " timebase-frequency" get-my-property 2drop !
(4) Type "Cntl" + "C" to exit NVRAMRC editor.
(5) Save the script
nvstore
(6) To enable the NVRAMRC, type this command.
setenv use-nvramrc? true

(7) Reboot with new timebase constant.
reset-all
If you fail to edit the NVRAMRC or need to return to the 100MHz bus, you can clear the changes by resetting the nvram, booting by holding down "Cmd" + "Opt" + "P" + "R".

[ 192 comments ]

 

Sunday, March 05, 2006, 18:26

Pom pom pom pom - KIDIFREE

[image]
Une critique par POMcast de KidiFree à ne pas louper (enfin c'est vieu, 2005 mais bon), moi j'aime bien quand des pti développeurs deviennent célèbres (^^), surtout quand on les fréquente régulièrement sur les forums (ici sur macfr)
Episode donc à savourer parlant de KIDIFREE : Pom051217-026.m4b
KidiFree petit soft regroupant, illustrant et commentant des freewares du net, avec du direct download. Idéal quand on découvre le monde Mac (et oui ya pas que iTunes lol)

Sinon écoutez le pomcast car c'est toujours marrant, et ce n'est pas un podcast monoutilisateurs, les animateurs discutent à plusieur (bref c'est pas un blogcast (je suis méchant mais franchement j'ai envie de l'être :p )) ils essayent d'être sérieux mais ils y arrivent pas, au fond c'est aussi un peu ça qu'on aime

Alors en gros sur pomcast, on a des commentaires sur les dernières nouveautés Mac, des interviews diverses amateurs dignes de NRJ, de super ragots dont certains n'en sont pas et d'autre en sont à moitiés, diverses actus Mac sympatiques, brefs beaucoup de choses sympathiques pour faire parler ou rigoler les Macusers.
Voilà si vous avez un podcast spécial à me faire écouter postez le dans les commentaires, car je commence à m'y accrocher là

[ No comments ]

 

Sunday, March 05, 2006, 17:46

Boum la TNT

[image]
Ouverture de ma carte TNT qui marchait qu'une heure à chaque lancement (réellement stressant, obligé de reset le périphérique via un autre logiciel)
Si vous regardez de plus près vous verrez des selfs de divers couleurs (souvent utilisé en HF) vertes, rouge, cuivre... ils ont du goût
On a deux gravures, la carte mère est en gravure anglais (on enlève le minimum de matière en frottant), et la carte fille en gravure chimique (fait trempette)
Les condensateurs en micro Farad sont les gros tubes à deux pates, les petits condensateurs (pico Farad) sont trop petits pour les voir là.
Le quartz à 20.48MHz : comme quoi on pourrait faire des amiga plus petits que les Mac mini XD

[ No comments ]

 

Saturday, March 04, 2006, 22:16

L'écran bleu du Mac est noir

[image]
J'ai supprimé trop de fichiers

[ 1 comment ]

 

Sunday, February 26, 2006, 18:00

Mac OS X sur CPC

[image]
Le lien

[ 3 comments ]

 

Sunday, February 19, 2006, 17:36

Orange Mécanique

[image]
La durango 95 (ils se plantent dans la version française ils disent 75, mais en fait c'est 95)
ça va ça pète je trouve comme voiture
En fait la caisse était tellement plate qu'il s'amuse à passer sous les camions avec

[ 2 comments ]

« 1 2 3 4 5 »  XML

[ Retour au site principal ]

Admin login | Script by Alex