Warning: session_start() [function.session-start]: open(/mnt/127/sdb/chez-alice.fr/8/6/freemac/sessions//sess_5eb7004b4b7977b7d8930f9f890991fb, O_RDWR) failed: No such file or directory (2) in /mnt/127/sdb/chez-alice.fr/8/6/freemac/weblog/weblog.php on line 135

Warning: session_start() [function.session-start]: Cannot send session cookie - headers already sent by (output started at /mnt/127/sdb/chez-alice.fr/8/6/freemac/weblog/weblog.php:135) in /mnt/127/sdb/chez-alice.fr/8/6/freemac/weblog/weblog.php on line 135

Warning: session_start() [function.session-start]: Cannot send session cache limiter - headers already sent (output started at /mnt/127/sdb/chez-alice.fr/8/6/freemac/weblog/weblog.php:135) in /mnt/127/sdb/chez-alice.fr/8/6/freemac/weblog/weblog.php on line 135
Blog de Freemac [ Retour au site principal ]

Blog de Freemac

 

Wednesday, December 14, 2005, 20:58

Last creation

[image]
From le manga kyo, personnalisé.

[ 1 comment ]

 

Saturday, November 26, 2005, 17:09

OS9 pour jacky

Vous regrettez la touche volume de OSX ? ainsi que la touche F11 ?
No probleme, un petit applescript executable discret lié à une touche Fxx et zouh :
Créer 8 applescript avec les valeurs du son de 0 à 7:

set volume 5
quit

Créez "cacher tout"

tell application "Finder"
activate
close every window -- ferme les dossiers ouverts
try
set visible of (every process whose visible is true and frontmost is false and name is not "Finder") to false
end try
end tell
quit

[ 7 comments ]

 

Saturday, November 19, 2005, 17:54

Xilinx Spartan

[image]
FPGA programmable en langage VHDL...
Prenez un circuit logique (OU/ET/NON), dessinez le sur l'ordinateur, imaginez le sur l'ordinateur, et hop avec de la chance ça tien simplement sur 1 seul composant : le FPGA.

[ No comments ]

 

Saturday, November 19, 2005, 17:35

Tous des fou

[image]
J'ai vue sur www.minisport.com qu'en fait le stage 2 comprenait le stage 1... c-a-d optimisation de l'échappement et des cylindres.
Là j'en suis à faire refaire l'aspect extérieur, la carroserie, la rouille...
Il faudrai que je voye pour les améliorations conviviables, genre l'agrandissement du réservoir, les amortisseurs...

[ 1 comment ]

 

Friday, November 18, 2005, 13:55

Frigo pour alcoolique

[image]
Bref quand tu est ivre, tu ne peut pas ouvrir la porte.

[ 1 comment ]

 

Friday, November 11, 2005, 00:03

Ouahou

[image]
Bientôt

[ 5 comments ]

 

Sunday, November 06, 2005, 20:37

Diablo 2

[image]
Niveau 28... Acte 4 fini.
rushed by Miganuts

[ 1 comment ]

 

Saturday, October 29, 2005, 19:12

Last creation

[image]
Sur un cadavre de PC
Yunyun fumant un bédo (kyo)

[ No comments ]

 

Thursday, October 27, 2005, 20:59

Halloween

[image]
Je pouvais m'en empécher, la tentation fu trop forte

[ No comments ]

 

Wednesday, October 26, 2005, 08:57

Redémarrer un périphérique

Comme moi vous vous êtes un peu fait avoir, ou que vous êtes radin (qui a dit comme moi?) et vous avez un périphérique qui n'est stable qu'une heure.
Alors en attendant une réception de TNT de 100% dans Orléans, optez pour le scrript qui vous empéchera d'avoir à redémarrer votre ordinateur (surtout quand c'est un serveur...)

devcon.exe
Devcon est un petit utilitaire qui permet de faire une ou deux opérations sur les périphériques, la première commande sera surement:
devcon find * > maliste.txt

Mon script perso pour reset un coup ma TNT quand elle supporte plus la réception à 60% (je le fait à titre préventif durant les pubs) :

[TNT.BAT]
@echo off
taskkill /F /IM MyTheatre.exe /T
echo TNT OFF
devcon restart *SAMSUNGDVB*
cd "C:\Program Files\MyTheatre"
"C:\Program Files\MyTheatre\MTStart.exe" /toggle
echo BYE

NOTA : taskkill ferme un proccessus de façon violente (/F force), cette commande n'apparait par défaut que sous XP PRO...

[ 2 comments ]

« 1 2 3 4 5 »  XML

[ Retour au site principal ]

Admin login | Script by Alex